作业帮 > 综合 > 作业

VHDL library ieee;use ieee.std_logic_1164.all;entity we ispo

来源:学生作业帮 编辑:搜搜做题作业网作业帮 分类:综合作业 时间:2024/04/29 20:56:11
VHDL
library ieee;
use ieee.std_logic_1164.all;
entity we is
port(A,B,C,D:in bit;
g,f,e,d,c,b,a:out bit);
end we;
architecture qwe1 of we is
signal comb:bit_vector(3 downto 0);
signal temp:bit_vector(6 downto 0);
begin
combtemptemptemptemptemptemptemptemptemptemptemp
VHDL library ieee;use ieee.std_logic_1164.all;entity we ispo
VHDL不区分大小写,输入端口中的A、B、C、D与输出端口中的a、b、c、d重名了,将输入端口的A、B、C、D改为A_in、B_in、C_in、D_in就可以.